From: axr0284 on
Hi,
I was wondering why this declaration in my code:
use IEEE.fixed_pkg.all;

spits out this error when I try to synthesize in ISE 11.1
Library unit fixed_pkg is not available in library IEEE.

It works in Active HDL simulation.

Any idea why?
Thanks,
Amish
From: Amal on
On Jan 19, 5:35 pm, axr0284 <axr0...(a)yahoo.com> wrote:
> Hi,
>  I was wondering why this declaration in my code:
> use IEEE.fixed_pkg.all;
>
> spits out this error when I try to synthesize in ISE 11.1
> Library unit fixed_pkg is not available in library IEEE.
>
> It works in Active HDL simulation.
>
> Any idea why?
> Thanks,
> Amish

Vendors are starting to support VHDL 2008. ModelSim already supplies
these. For synthesis, you might need to add a few translate_off/
translate_on for the version supplied by ModelSim.

You can get a copy (not sure if it s up to date or not) from:

http://www.eda-stds.org/vhdl-200x/vhdl-200x-ft/packages_old/vhdl-200x-pkgs_18.zip

-- Amal
From: Amal on
On Jan 19, 7:12 pm, Amal <akhailt...(a)gmail.com> wrote:
> On Jan 19, 5:35 pm, axr0284 <axr0...(a)yahoo.com> wrote:
>
> > Hi,
> >  I was wondering why this declaration in my code:
> > use IEEE.fixed_pkg.all;
>
> > spits out this error when I try to synthesize in ISE 11.1
> > Library unit fixed_pkg is not available in library IEEE.
>
> > It works in Active HDL simulation.
>
> > Any idea why?
> > Thanks,
> > Amish
>
> Vendors are starting to support VHDL 2008.  ModelSim already supplies
> these.  For synthesis, you might need to add a few translate_off/
> translate_on for the version supplied by ModelSim.
>
> You can get a copy (not sure if it s up to date or not) from:
>
> http://www.eda-stds.org/vhdl-200x/vhdl-200x-ft/packages_old/vhdl-200x...
>
> -- Amal

I guess Mike answered this here:
http://groups.google.com/group/comp.lang.vhdl/browse_thread/thread/805c3397b1fec45a

-- Amal
From: HT-Lab on

"Amal" <akhailtash(a)gmail.com> wrote in message
news:927d246a-e4fd-4448-8683-643365d58b50(a)e16g2000yqc.googlegroups.com...
On Jan 19, 5:35 pm, axr0284 <axr0...(a)yahoo.com> wrote:
> Hi,
> I was wondering why this declaration in my code:
> use IEEE.fixed_pkg.all;
>
> spits out this error when I try to synthesize in ISE 11.1
> Library unit fixed_pkg is not available in library IEEE.
>
> It works in Active HDL simulation.
>
> Any idea why?
> Thanks,
> Amish

> Vendors are starting to support VHDL 2008.

Yes you are right, I just got Mentor's Precision beta program email and going
through the features I noticed some VHDL2008 support including support for the
fixed point, numeric_signed and numeric_unsigned packages. They next release
will also support expressions in port maps which I assume they needed for the
above packages since they don't seem to support any other VHDL2008 language
constructs.

Hans
www.ht-lab.com