From: gauckler on
Hi,

i tried to simulate a small vhdl design with xilinx ISE (8.1 - 8.2
spxx, Webpack or foundation) running SuSE 10.1 linux, unfortunately
there is an error. Because the VHDL code simulates with SuSE 9.2 I
assume the code is fine and there are no spaces in the file path.

Started : "Check Syntax".
Running vhpcomp
Compiling vhdl file "/home/PBuser2/parity/parity.vhd" in Library
isim_temp.
Entity <parity> compiled.
Entity <parity> (Architecture <behavior>) compiled.
Compiling vhdl file "/home/PBuser2/parity/tb_parity.vhd" in Library
isim_temp.
Entity <tb_parity_vhd> compiled.
Entity <tb_parity_vhd> (Architecture <behavior>) compiled.
Parsing "tb_parity_vhd_stx.prj": 0.03

Process "Check Syntax" completed successfully

Running Fuse ...
Parsing "tb_parity_vhd_beh.prj": 0.00
Building tb_parity_vhd_isim_beh.exe
ERROR:Simulator:222 - Generated C++ compilation was unsuccessful

Has anybody simulated ISE isim under SuSE 10.1. Any hint is
appreciated.

Andreas

From: Roger on

"gauckler" <gauckler(a)fh-furtwangen.de> wrote in message
news:1158562165.368801.101410(a)i3g2000cwc.googlegroups.com...
> Hi,
>
> i tried to simulate a small vhdl design with xilinx ISE (8.1 - 8.2
> spxx, Webpack or foundation) running SuSE 10.1 linux, unfortunately
> there is an error. Because the VHDL code simulates with SuSE 9.2 I
> assume the code is fine and there are no spaces in the file path.
>
> Started : "Check Syntax".
> Running vhpcomp
> Compiling vhdl file "/home/PBuser2/parity/parity.vhd" in Library
> isim_temp.
> Entity <parity> compiled.
> Entity <parity> (Architecture <behavior>) compiled.
> Compiling vhdl file "/home/PBuser2/parity/tb_parity.vhd" in Library
> isim_temp.
> Entity <tb_parity_vhd> compiled.
> Entity <tb_parity_vhd> (Architecture <behavior>) compiled.
> Parsing "tb_parity_vhd_stx.prj": 0.03
>
> Process "Check Syntax" completed successfully
>
> Running Fuse ...
> Parsing "tb_parity_vhd_beh.prj": 0.00
> Building tb_parity_vhd_isim_beh.exe
> ERROR:Simulator:222 - Generated C++ compilation was unsuccessful
>
> Has anybody simulated ISE isim under SuSE 10.1. Any hint is
> appreciated.
>
> Andreas
>

Same thing with just ISE - no solution. Sorry. This really is something
Xilinx should be sorting.

Rog.


From: Duth on
Hi Andreas/Roger,

I am afraid that SuSe is not an officially supported Linux distribution
by Xilinx currently. We are evaluating the need for support of SuSe for
future releases of Xilinx Tools though. Right now RH3.0 and 4.0 are the
only oficiallly supported Linux Distributions. if you would like to
request the priority raised on the SuSe support, please contact your
nearest FAE and let them know.

Thanks
Duth

Roger wrote:
> "gauckler" <gauckler(a)fh-furtwangen.de> wrote in message
> news:1158562165.368801.101410(a)i3g2000cwc.googlegroups.com...
> > Hi,
> >
> > i tried to simulate a small vhdl design with xilinx ISE (8.1 - 8.2
> > spxx, Webpack or foundation) running SuSE 10.1 linux, unfortunately
> > there is an error. Because the VHDL code simulates with SuSE 9.2 I
> > assume the code is fine and there are no spaces in the file path.
> >
> > Started : "Check Syntax".
> > Running vhpcomp
> > Compiling vhdl file "/home/PBuser2/parity/parity.vhd" in Library
> > isim_temp.
> > Entity <parity> compiled.
> > Entity <parity> (Architecture <behavior>) compiled.
> > Compiling vhdl file "/home/PBuser2/parity/tb_parity.vhd" in Library
> > isim_temp.
> > Entity <tb_parity_vhd> compiled.
> > Entity <tb_parity_vhd> (Architecture <behavior>) compiled.
> > Parsing "tb_parity_vhd_stx.prj": 0.03
> >
> > Process "Check Syntax" completed successfully
> >
> > Running Fuse ...
> > Parsing "tb_parity_vhd_beh.prj": 0.00
> > Building tb_parity_vhd_isim_beh.exe
> > ERROR:Simulator:222 - Generated C++ compilation was unsuccessful
> >
> > Has anybody simulated ISE isim under SuSE 10.1. Any hint is
> > appreciated.
> >
> > Andreas
> >
>
> Same thing with just ISE - no solution. Sorry. This really is something
> Xilinx should be sorting.
>
> Rog.

From: Duth on
Hi Andreas,

There is something you could try:

It is very likely caused due to missing libstdc++.so.5. Suse 10 ships
with libstdc++.so.6. If you install libstdc++ compatibility package
(which has libstdc++.so.5) on your system the design should work. The
compatibility package can be found here:

http://www.novell.com/products/linuxpackages/suselinux/compat-libstdc++.html

Thanks
Duth


Duth wrote:
> Hi Andreas/Roger,
>
> I am afraid that SuSe is not an officially supported Linux distribution
> by Xilinx currently. We are evaluating the need for support of SuSe for
> future releases of Xilinx Tools though. Right now RH3.0 and 4.0 are the
> only oficiallly supported Linux Distributions. if you would like to
> request the priority raised on the SuSe support, please contact your
> nearest FAE and let them know.
>
> Thanks
> Duth
>
> Roger wrote:
> > "gauckler" <gauckler(a)fh-furtwangen.de> wrote in message
> > news:1158562165.368801.101410(a)i3g2000cwc.googlegroups.com...
> > > Hi,
> > >
> > > i tried to simulate a small vhdl design with xilinx ISE (8.1 - 8.2
> > > spxx, Webpack or foundation) running SuSE 10.1 linux, unfortunately
> > > there is an error. Because the VHDL code simulates with SuSE 9.2 I
> > > assume the code is fine and there are no spaces in the file path.
> > >
> > > Started : "Check Syntax".
> > > Running vhpcomp
> > > Compiling vhdl file "/home/PBuser2/parity/parity.vhd" in Library
> > > isim_temp.
> > > Entity <parity> compiled.
> > > Entity <parity> (Architecture <behavior>) compiled.
> > > Compiling vhdl file "/home/PBuser2/parity/tb_parity.vhd" in Library
> > > isim_temp.
> > > Entity <tb_parity_vhd> compiled.
> > > Entity <tb_parity_vhd> (Architecture <behavior>) compiled.
> > > Parsing "tb_parity_vhd_stx.prj": 0.03
> > >
> > > Process "Check Syntax" completed successfully
> > >
> > > Running Fuse ...
> > > Parsing "tb_parity_vhd_beh.prj": 0.00
> > > Building tb_parity_vhd_isim_beh.exe
> > > ERROR:Simulator:222 - Generated C++ compilation was unsuccessful
> > >
> > > Has anybody simulated ISE isim under SuSE 10.1. Any hint is
> > > appreciated.
> > >
> > > Andreas
> > >
> >
> > Same thing with just ISE - no solution. Sorry. This really is something
> > Xilinx should be sorting.
> >
> > Rog.

From: Andreas Gauckler on
Hi Duth,
thank you for your help. After exchanging the ld-files (/usr/bin -->
$XILINX/gnu ..) the simulator runs.

<<"DUTH wrote:"No worries. I checked with our ISE Simulator team and they
said that our ISE 9.1i could potentially work, as we had to change some of
the ld libraries to be Fedora 5.0 compatible as well. The solution is to
remove the version of ld which ships with ISIM and replace it with the
installed system ld.

Hence in ISE install area, we need to rename the following two copies of ld
to something like ld.old

../gnu/gcc/3.2.3/lin/i686-pc-linux-gnu/bin/ld
../gnu/gcc/3.2.3/lin/bin/ld

>>