From: Vagant on
On Oct 24, 8:44 pm, DialTone <DialT...(a)faked.com> wrote:
> > Hi,
> > thanks a lot for reply. I also got idea that it's a great board,
> > mainly from others. I have just started and have not find any detailed
> > examples how to move forward learning this.
> > There are many materials on Xilinx's Web Site but
> > all these are mainly about advanced
> > programming and there is almost nothing for beginners.
>
> Hi,
>
> I'm very new to VHDL/FPGA myself and also have a S3E (the smaller
> XC4S500) board. Bought from digilent, it came with no software or manuals
> (the manuals are all available from xilinx web site though, of course).
>
> Not sure if you mean the reference designs athttp://www.xilinx.com/products/boards/s3estarter/reference_designs.htm
>
> They're certainly quite detailed and complex - I really only looked at
> the Rotary Encoder example as this gave quite a simple VHDL design to
> experiment with, plus it showed how to read the Rotary thingamajig.
>
> What I _did_ find really useful to look at (besides the web-based
> tutorials and suchlike that you can find with google), was the FPGA
> Arcade projecthttp://www.fpgaarcade.com. Both PACMAN and Space Invaders
> have been ported to the S3E board, and of course full source is provided.
> I also looked at John Kent's excellent FPGA page athttp://members.optushome.com.au/jekent/FPGA.htm- In particular the
> System09 project interested me: although there's no version specifically
> for the S3E board, there is an S3 version which (although not close
> enough to use unmodified) is an interesting insight into VHDL for me.
>
> I guess it all depends what exactly you want to do with the FPGA, but
> perhaps those sites might be useful?
>
> Good Luck
> DT

Thanks for update! The sites are just what I look for. :)

From: Vagant on
On Oct 24, 9:29 pm, "Eric Crabill" <eric.crab...(a)xilinx.com> wrote:
> If you have the Spartan-3E Starter Kit, and are interested in using Verilog
> as your hardware description language, there are a few tutorials and small
> projects you can try at:
>
> http://www.engr.sjsu.edu/crabill/
>
> Eric
>
> "DialTone" <DialT...(a)faked.com> wrote in message
>
> news:Xns99D3D2E5CC88Edialtonentlworld(a)62.253.170.163...
>
>
>
>
>
> >> Hi,
> >> thanks a lot for reply. I also got idea that it's a great board,
> >> mainly from others. I have just started and have not find any detailed
> >> examples how to move forward learning this.
>
> >> There are many materials on Xilinx's Web Site but
> >> all these are mainly about advanced
> >> programming and there is almost nothing for beginners.
>
> > Hi,
>
> > I'm very new to VHDL/FPGA myself and also have a S3E (the smaller
> > XC4S500) board. Bought from digilent, it came with no software or manuals
> > (the manuals are all available from xilinx web site though, of course).
>
> > Not sure if you mean the reference designs at
> >http://www.xilinx.com/products/boards/s3estarter/reference_designs.htm
>
> > They're certainly quite detailed and complex - I really only looked at
> > the Rotary Encoder example as this gave quite a simple VHDL design to
> > experiment with, plus it showed how to read the Rotary thingamajig.
>
> > What I _did_ find really useful to look at (besides the web-based
> > tutorials and suchlike that you can find with google), was the FPGA
> > Arcade projecthttp://www.fpgaarcade.com. Both PACMAN and Space Invaders
> > have been ported to the S3E board, and of course full source is provided.
> > I also looked at John Kent's excellent FPGA page at
> >http://members.optushome.com.au/jekent/FPGA.htm- In particular the
> > System09 project interested me: although there's no version specifically
> > for the S3E board, there is an S3 version which (although not close
> > enough to use unmodified) is an interesting insight into VHDL for me.
>
> > I guess it all depends what exactly you want to do with the FPGA, but
> > perhaps those sites might be useful?
>
> > Good Luck
> > DT- Hide quoted text -
>
> - Show quoted text -

Thanks for this. I am not using Verilog really so perhaps cannot enjoy
much from the site.
I just wonder, whether you might consider to give VHDL expamples too,
in parallel to Verilog code.

First  |  Prev  | 
Pages: 1 2
Prev: FATAL ERROR ISE9.1i
Next: XILINX CDs