From: Pete Fraser on
I haven't used Altera tools for ages, but I'm working
on a Cyclone 3 design now, so I'm trying to understand them.

The design is going to use many 20x20 signed multipliers.
I had hoped that the synthesizer would be able to infer
a 20 x 20 that used one 18 x 18, and did the rest in fabric,
but I couldn't get that to work.

I used the MegaWizard with the LPM_MULT.
I set the Optimization to "Area" (otherwise it
uses nothing but hardware multipliers) and set the
latency to three (to allow some pipelining for the
addition of the two 2x20 mults in fabric) and it seems
to do pretty much what I expected. That was with
Quartus 9.1.

If I try to repeat this with Quartus 10, it won't let me
set the latency to three. If I set it to two it does what
I would expect, and runs too slow (as I would expect).

Any idea what's going on? Am I being dumb, or are the
tools broken?

Is there some resource at Altera that I should be using
for questions like these. I tried using the FAE at the client's
distributor, but that was an annoying waste of time.

Thanks

Pete


 | 
Pages: 1
Prev: All Digital PLL
Next: RS-Latch