From: Gabor on
On Nov 3, 12:28 pm, "melinda" <melinda.m...(a)gmail.com> wrote:
> Thanks Nigel,
>
> I was try that, but I'm still not able to see any changes of signals in
> instantiated modules(in wave form window).
>
> When I put some signals from instantiated verilog modules to wave form
> window, after I hit "run" simulation those signals remain X.
>
> Do you have any idea why is that so?
>
> Best Regards      
>
> ---------------------------------------        
> This message was sent using the comp.arch.fpga web interface onhttp://www..FPGARelated.com

There are two things that happen in wave windows when you add signals,
first the signal is added to the wave viewer, but at the same time it
is added to the log. Normally in the wave view the signal will appear
as a total blank ("No Data") up to the point in the simulation where
you added it to the wave window. After that as you continue to run
the
sim it is filled in with the current state. If you want to be able
to add signals to the wave and see their full history, you should
add everything to the log when you start the simulation:

add log -r /*

Regards,
Gabor
From: NigelE on
On Nov 3, 5:28 pm, "melinda" <melinda.m...(a)gmail.com> wrote:
> Thanks Nigel,
>
> I was try that, but I'm still not able to see any changes of signals in
> instantiated modules(in wave form window).
>
> When I put some signals from instantiated verilog modules to wave form
> window, after I hit "run" simulation those signals remain X.
>
> Do you have any idea why is that so?
>
> Best Regards      
>
> ---------------------------------------        
> This message was sent using the comp.arch.fpga web interface onhttp://www..FPGARelated.com

If you can see the signals you want in the Objects window and can add
them to the Wave window then you've sorted any optimization
accessibility issues.

The fact that you're seeing X on signals simply reflects the value
Modelsim has calculated for them.
You're into plain old circuit debug activity now !

As others have suggested, log all the signals in your design with
log -r /*
run the simulation and then back/forward trace signals to see where
the X is introduced.
The Dataflow window is very useful for this.

- Nigel

From: melinda on
>If you can see the signals you want in the Objects window and can add
>them to the Wave window then you've sorted any optimization
>accessibility issues.
>
>The fact that you're seeing X on signals simply reflects the value
>Modelsim has calculated for them.
>You're into plain old circuit debug activity now !
>
>As others have suggested, log all the signals in your design with
>log -r /*
>run the simulation and then back/forward trace signals to see where
>the X is introduced.
>The Dataflow window is very useful for this.
>
>- Nigel
>
>
Hi,

When I said that I see X on signals... lets me explain you on example:
Suppose we have counter.v and counter_tb.v in our design. (counter_tb.v
instantiates counter.v)
I add all signals from counter.v from objects window to wave window.
Then also add all signals from counter_tb.v objects window to wave window.
What I can see is all signal changes from counter_tb.v, including counter
instance signals from counter_tb.v.
With signals (in wave window) from counter.v nothing happening though
counter_tb.v instantiate counter.v module ????

Do you know how to see that signals in instantianed modules in wave
window.
(i.e. if we have clock signal in tesbench(counter_tb.v) which maps to clock
signal in counter.v
I can see clock signal from testbench toogling but nothing happens with
clock signal in counter.v (in wave window))

(PS: all mapping-signal orders etc... is just fine, just cant see what I
describe above)

Bets regards

---------------------------------------
This message was sent using the comp.arch.fpga web interface on
http://www.FPGARelated.com
From: NigelE on
On Nov 4, 10:38 am, "melinda" <melinda.m...(a)gmail.com> wrote:
> >If you can see the signals you want in the Objects window and can add
> >them to the Wave window then you've sorted any optimization
> >accessibility issues.
>
> >The fact that you're seeing X on signals simply reflects the value
> >Modelsim has calculated for them.
> >You're into plain old circuit debug activity now !
>
> >As others have suggested, log all the signals in your design with
> >log -r /*
> >run the simulation and then back/forward trace signals to see where
> >the X is introduced.
> >The Dataflow window is very useful for this.
>
> >- Nigel
>
> Hi,
>
> When I said that I see X on signals... lets me explain you on example:
> Suppose we have counter.v and counter_tb.v in our design. (counter_tb.v
> instantiates counter.v)  
> I add all signals from counter.v from objects window to wave window.
> Then also add all signals from counter_tb.v objects window to wave window..
> What I can see is all signal changes from counter_tb.v, including counter
> instance signals from counter_tb.v.
> With signals (in wave window) from counter.v nothing happening though
> counter_tb.v instantiate counter.v module ????
>
> Do you know how to see that signals in instantianed modules in wave
> window.
> (i.e. if we have clock signal in tesbench(counter_tb.v) which maps to clock
> signal in counter.v
> I can see clock signal from testbench toogling but nothing happens with
> clock signal in counter.v (in wave window))
>
> (PS: all mapping-signal orders etc... is just fine, just cant see what I
> describe above)
>
> Bets regards      
>
> ---------------------------------------        
> This message was sent using the comp.arch.fpga web interface onhttp://www..FPGARelated.com- Hide quoted text -
>
> - Show quoted text -

It sounds like you are seeing the instantianed module signal values,
it's just they aren't what you expect !
If you see a value for a signal in the Wave window then you are
logging it correctly.
Use the 'drivers' command to check correct connectivity

eg drivers /counter_tb/i_cnt/clk
will show where the clk signal in the instance i_cnt is driven from -
hopefully from code in counter_tb

Can you post any code we can look at?
Otherwise contact your local Mentor support team who can look at this
in more detail.

- Nigel