From: rickman on
On May 17, 3:17 pm, Ed McGettigan <ed.mcgetti...(a)xilinx.com> wrote:
> On May 17, 1:49 am, "Nial Stewart"
>
> <nial*REMOVE_TH...(a)nialstewartdevelopments.co.uk> wrote:
> > >> Come on Altera (and the rest), give us a standard.
> > > don't count on them to "give" a "good" standard ;-)
> > > they're in for the money, they follow the money...
>
> > Aye, but it's getting to the stage where an FPGA and programming
> > memory footprint is matched by the programming header!
>
> > It could start to affect device selection.
>
> Are you serious?
>
> I don't think that Xilinx, Altera or ARM really care what header is
> used on the target board.  Each of use picked something that we think
> makes sense and provided a ribbon cable that mates the JTAG cable to
> the target board.
>
> Nothing prevents you from using an alternative connector on the target
> board and creating an adapter that connects to the JTAG cable.
>
> Ed McGettigan
> --
> Xilinx Inc.

Yes, I have seen that done, but it is often a PITA to come up with the
adapter cable. Going from a 0.1" connector to a 2 mm connector is not
really a big advantage, especially when the problem is connector
height. The best connector is a two piece design like the Conan
series from FCI or similar. The pins are fully supported by the
housing and so are not delicate, they have a small footprint, they are
cheap, but most of all, they can be very, very short, even when mated
to the cable. The only down side is that they are not so easy to use
if not supported as a standard. Ideally the cable would be a flex
cable. That costs a lot to make in small quantity. If the FPGA
vendors used a common connector the flex cable and connector could be
a standard programmer component.

I guess I don't agree with your other post that adapters are "simple
and easy" to make. I guess they are if you are just going from one
large connector to another large connector. But then, what is the
point?

Is this an example of vendors listening to their customers?

Rick
From: Thomas Entner on
> I can't tell from the EEBlaster picture if the two ribbon cables are
> permanently connected to the box or not.  If they are then I would be
> worried about the signal integrity of the interface due to the large
> stub from the unused cable.

The stub does not cause problems with signal integrity. When in doubt,
it is easy to remove (unplug) each of the cables by opening the
housing with one screw.

> They list as one of the features "improved
> signal integrity" so maybe they had some problems with this in the
> past.

The "improved" is compared to other solutions, not to a previous
version ;-)

> I noticed that the PCB connector isn't keyed in any manner
> which can lead to some nasty problems with inexperienced users.

In fact, when you use a walled header, it is keyed. However, it is
intended to be used with unwalled headers (for the smaller size) and
there will be no problems for all common false connectings. With the
red "Target"-LED you can immediately see if it is pluged in correctly,
when the target is powered.

Regards,

Thomas
From: Ed McGettigan on
On May 17, 11:47 pm, rickman <gnu...(a)gmail.com> wrote:
> On May 17, 3:17 pm, Ed McGettigan <ed.mcgetti...(a)xilinx.com> wrote:
>
>
>
>
>
> > On May 17, 1:49 am, "Nial Stewart"
>
> > <nial*REMOVE_TH...(a)nialstewartdevelopments.co.uk> wrote:
> > > >> Come on Altera (and the rest), give us a standard.
> > > > don't count on them to "give" a "good" standard ;-)
> > > > they're in for the money, they follow the money...
>
> > > Aye, but it's getting to the stage where an FPGA and programming
> > > memory footprint is matched by the programming header!
>
> > > It could start to affect device selection.
>
> > Are you serious?
>
> > I don't think that Xilinx, Altera or ARM really care what header is
> > used on the target board.  Each of use picked something that we think
> > makes sense and provided a ribbon cable that mates the JTAG cable to
> > the target board.
>
> > Nothing prevents you from using an alternative connector on the target
> > board and creating an adapter that connects to the JTAG cable.
>
> > Ed McGettigan
> > --
> > Xilinx Inc.
>
> Yes, I have seen that done, but it is often a PITA to come up with the
> adapter cable.  Going from a 0.1" connector to a 2 mm connector is not
> really a big advantage, especially when the problem is connector
> height.  The best connector is a two piece design like the Conan
> series from FCI or similar.  The pins are fully supported by the
> housing and so are not delicate, they have a small footprint, they are
> cheap, but most of all, they can be very, very short, even when mated
> to the cable.  The only down side is that they are not so easy to use
> if not supported as a standard.  Ideally the cable would be a flex
> cable.  That costs a lot to make in small quantity.  If the FPGA
> vendors used a common connector the flex cable and connector could be
> a standard programmer component.
>
> I guess I don't agree with your other post that adapters are "simple
> and easy" to make.  I guess they are if you are just going from one
> large connector to another large connector.  But then, what is the
> point?
>
> Is this an example of vendors listening to their customers?
>
> Rick- Hide quoted text -
>
> - Show quoted text -

When I said that adapters are simple, this is an example of what I
talking about.
http://farm3.static.flickr.com/2598/4167273762_609f88c0e1_o.jpg

This converted from the Xilinx 2x7 2mm header to the previous flying
wire style. Doing the same for another connector would not involve
creating a custom cable with two different connectors. The simple PCB
adapter would have the Xilinx/Altera/ARM connector on one side and
your favorite connector mate on the other. The ribbon/flex cable would
have your favorite connector on both ends and would likely be a
standard product if you are using a popular connector.

> Is this an example of vendors listening to their customers?

We listen all of the time, but we often hear mutually exclusive
desires. There was a big uproar when we went from the 1x7 100mil
flying lead style to current 2x7 2mm header. In order to ease the
transition the adapter above was created.

Ed McGettigan
--
Xilinx Inc.

From: rickman on
On May 18, 11:59 am, Ed McGettigan <ed.mcgetti...(a)xilinx.com> wrote:
> On May 17, 11:47 pm, rickman <gnu...(a)gmail.com> wrote:
>
>
>
> > On May 17, 3:17 pm, Ed McGettigan <ed.mcgetti...(a)xilinx.com> wrote:
>
> > > On May 17, 1:49 am, "Nial Stewart"
>
> > > <nial*REMOVE_TH...(a)nialstewartdevelopments.co.uk> wrote:
> > > > >> Come on Altera (and the rest), give us a standard.
> > > > > don't count on them to "give" a "good" standard ;-)
> > > > > they're in for the money, they follow the money...
>
> > > > Aye, but it's getting to the stage where an FPGA and programming
> > > > memory footprint is matched by the programming header!
>
> > > > It could start to affect device selection.
>
> > > Are you serious?
>
> > > I don't think that Xilinx, Altera or ARM really care what header is
> > > used on the target board.  Each of use picked something that we think
> > > makes sense and provided a ribbon cable that mates the JTAG cable to
> > > the target board.
>
> > > Nothing prevents you from using an alternative connector on the target
> > > board and creating an adapter that connects to the JTAG cable.
>
> > > Ed McGettigan
> > > --
> > > Xilinx Inc.
>
> > Yes, I have seen that done, but it is often a PITA to come up with the
> > adapter cable.  Going from a 0.1" connector to a 2 mm connector is not
> > really a big advantage, especially when the problem is connector
> > height.  The best connector is a two piece design like the Conan
> > series from FCI or similar.  The pins are fully supported by the
> > housing and so are not delicate, they have a small footprint, they are
> > cheap, but most of all, they can be very, very short, even when mated
> > to the cable.  The only down side is that they are not so easy to use
> > if not supported as a standard.  Ideally the cable would be a flex
> > cable.  That costs a lot to make in small quantity.  If the FPGA
> > vendors used a common connector the flex cable and connector could be
> > a standard programmer component.
>
> > I guess I don't agree with your other post that adapters are "simple
> > and easy" to make.  I guess they are if you are just going from one
> > large connector to another large connector.  But then, what is the
> > point?
>
> > Is this an example of vendors listening to their customers?
>
> > Rick- Hide quoted text -
>
> > - Show quoted text -
>
> When I said that adapters are simple, this is an example of what I
> talking about.http://farm3.static.flickr.com/2598/4167273762_609f88c0e1_o..jpg
>
> This converted from the Xilinx 2x7 2mm header to the previous flying
> wire style. Doing the same for another connector would not involve
> creating a custom cable with two different connectors. The simple PCB
> adapter would have the Xilinx/Altera/ARM connector on one side and
> your favorite connector mate on the other. The ribbon/flex cable would
> have your favorite connector on both ends and would likely be a
> standard product if you are using a popular connector.

I'm not sure what you are saying exactly, but it sounds like you are
suggesting that I make my own custom cable adapter which is exactly
what I am saying is the problem. The image you provide shows a large
bulky header/pcb/header which is exactly what I am saying is a very
poor solution in many applications. If I need to test a board in a
stack that only has 5 mm clearance between boards, how am I supposed
to get that monster in there?


> > Is this an example of vendors listening to their customers?
>
> We listen all of the time, but we often hear mutually exclusive
> desires.  There was a big uproar when we went from the 1x7 100mil
> flying lead style to current 2x7 2mm header.  In order to ease the
> transition the adapter above was created.

It would seem to me that Xilinx did a "Classic Coke" change where the
customers weren't allowed a choice until they yelled. Sure, if you
change your programming cables to drop compatibility with the existing
way of connecting, there will be plenty of people who will yell. The
product mentioned above is a good example of how to offer an
improvement and maintain compatibility. It's only a shame that they
didn't go to a low profile connector.

There are a lot of apps for low profile devices. Just look at your
packaging. How do people debug those designs with a debugging header
that is > 10 mm tall? I have used custom approaches (at other
companies) when the vendor approaches didn't work. But for a one off,
this is an expensive way to go.

Rick
From: -jg on
On May 14, 8:54 pm, "Nial Stewart"
<nial*REMOVE_TH...(a)nialstewartdevelopments.co.uk> wrote:
> "Thomas Entner" <thomas.ent...(a)entner-electronics.com> wrote in message
>
> news:25e512b7-8076-495a-b1ee-95e080e01ff5(a)k17g2000yqf.googlegroups.com...
>
> > With our EEBlaster (http://www.entner-electronics.com/tl/index.php/
> > eeblaster.html), we support a 2x3 2mm pitch header which uses just
> > about 1/3 of the area of the 2x5 header. We think this is a good
> > compromise of size, price, reliability and availability. We have the
> > pinout made public on the mentioned link, so everyone can use it,
> > either together with our EEBlaster or with a self-made adapter-cable.
> > Best regards
> > Thomas Entner
> >www.entner-electronics.com
>
> That certainly looks a good option Thomas, top of the contenders so far!
>
> Nial.

2mm pitch is a good size/strength/standard compromise, but I'd not
stop at 2x3/2mm; such a standard, should
have more than one size, the others being super-sets.

So the minimum common subset, is 2x3, then you add another 2x3 for
example, to allow more test signals.

With FT2232 and FT2232H becoming standard, there are a lot of unused
(but paid for) signals sitting right next to the JTAG ones.

Then you cover not just JTAG, but also a reasonable amount of PCB run-
time-test as well.

-jg