From: Eyyub Can Odacioglu on
How can I solve this error?

ERROR:Pack:1107 - Unable to combine the following symbols into a
single IOB
component:
BUF symbol "TXD_OBUF" (Output Signal = TXD)
PAD symbol "TXD" (Pad Signal = TXD)
Each of the following constraints specifies an illegal physical
site for a
component of type IOB:
Symbol "TXD" (LOC=R7)
Please correct the constraints accordingly.

E.Can ODACIOGLU