From: Gabor on
On Jul 28, 7:47 am, Eyyub Can Odacioglu <ecodacio...(a)gmail.com> wrote:
> How can I solve this error?
>
> ERROR:Pack:1107 - Unable to combine the following symbols into a
> single IOB
>    component:
>         BUF symbol "TXD_OBUF" (Output Signal = TXD)
>         PAD symbol "TXD" (Pad Signal = TXD)
>    Each of the following constraints specifies an illegal physical
> site for a
>    component of type IOB:
>         Symbol "TXD" (LOC=R7)
>    Please correct the constraints accordingly.
>
> E.Can ODACIOGLU

Get out the datasheet for your part. What type of pin is location
R7? If it is
not an I/O pin (i.e. input only or special purpose pin) you can't use
it as an
output pin.

Regards,
Gabor