From: whygee on
Jeff Cunningham wrote:
> The free versions of Modelsim have been available for years (also
> through xilinx - look for "starter edition") but are really usable for
> small designs. Once your design goes over a certain number of statements
> (I think 500 or something like that) execution slows to a crawl.

there are also free (as free beer or as a gnu) simulators for VHDL :
http://symphonyeda.com/ quite nice
http://ghdl.free.fr/ quite awesome in certain aspects
(yes i'm biased because I use it and it can do things others can't)

> -Jeff
yg

--
http://ygdes.com / http://yasep.org
From: pini_45 on
>Jeff Cunningham wrote:
>> The free versions of Modelsim have been available for years (also
>> through xilinx - look for "starter edition") but are really usable for
>> small designs. Once your design goes over a certain number of statements

>> (I think 500 or something like that) execution slows to a crawl.
>
>there are also free (as free beer or as a gnu) simulators for VHDL :
>http://symphonyeda.com/ quite nice
>http://ghdl.free.fr/ quite awesome in certain aspects
> (yes i'm biased because I use it and it can do things others can't)
>
>> -Jeff
>yg
>
>--
>http://ygdes.com / http://yasep.org
>

I have used icarus and GHDL and found them okay for small designs. I used
it to model sparse memory (VPI for icarus verilog).
The work was posted at:
h---://bknpk.no-ip.biz/my_web/MiscellaneousHW//memory_hdl_models.html



---------------------------------------
Posted through http://www.FPGARelated.com