From: Pete Fraser on
"backhus" <goouse99(a)googlemail.com> wrote in message
news:932a8e33-617d-4f84-928a-2f9729b09105(a)g28g2000yqh.googlegroups.com...

> which simulator do you use?
Active-HDL 8.2

> Probably the compile options are set to some old VHDL standard or you
> are using wrong or outdated libraries for the functions you intend to
> use.
I'm compiling with -2008.

Thanks

Pete


From: Pete Fraser on
"he" <he_novalid_addresse(a)arcor.de> wrote in message
news:4ba86240$0$7658$9b4e6d93(a)newsspool1.arcor-online.net...

> which textio-library are you using? if i remember correctly,
> hread/hwrite can only be used with ieee.std_logic_textio.all;

I'm using std.textio.all.
I'll give the other library a try, but sample_val is an integer,
so I didn't think I'd have to mess with std_logic stuff.

Thanks

Pete


From: Pete Fraser on
"Magne Munkejord" <magnemunk(a)yahoo.no> wrote in message
news:hoa188$2opp$1(a)toralf.uib.no...

> From my experiences from modelsim :
> * hwrite works on std_logic_vector but requires the vector to be of "even
> length", that is the length must be a multiple of 4.
> * to_hstring doesn't work for std_logic_vector, you'll have to convert it
> to a bit_vector first.

Probably my problem is that sample_val is an integer.
How to I print an integer as hex?

Thanks

Pete


From: Pete Fraser on
"Tricky" <trickyhead(a)gmail.com> wrote in message
news:1fc70f4e-cd42-49cc-8d65-2a4b8d1eb032(a)d37g2000yqn.googlegroups.com...

> why not create an integer to string function:
[code supplied]

Thanks. I'll try that.
It's my first time using textio, and I just assumed
some formatting control was provided somewhere,
but that I was too dumb to find it.

Pete


From: Magne Munkejord on
Pete Fraser wrote:
> "Magne Munkejord" <magnemunk(a)yahoo.no> wrote in message
> news:hoa188$2opp$1(a)toralf.uib.no...
>
>> From my experiences from modelsim :
>> * hwrite works on std_logic_vector but requires the vector to be of "even
>> length", that is the length must be a multiple of 4.
>> * to_hstring doesn't work for std_logic_vector, you'll have to convert it
>> to a bit_vector first.
>
> Probably my problem is that sample_val is an integer.
> How to I print an integer as hex?
>
> Thanks
>
> Pete
>
>
It was in the original post, if you missed it:
std_logic_vector(to_unsigned(sample_val, <length>))

make sure <length> is multiple of 4 if you intend to use it with hwrite.