From: pini_45 on
>I'm trying to dump eight hex values per line
>into a file, and can't work out how to do it.
>
> for index in 0 to 127 loop
> for sample_sel in 0 to 7 loop
> sample_val := integer(scale * sin(phase(sample_sel)));
> write ( sample_line, sample_val, RIGHT, 10);
> phase(sample_sel) := phase(sample_sel) + phase_inc(sample_sel);
> end loop;
> writeline ( ip_dat, sample_line );
> end loop;
>
>does what I want, but with decimal values.
>
>If I change to:
>hwrite ( sample_line, sample_val, RIGHT, 10);
>or:
>write ( sample_line, to_hstring(sample_val), RIGHT, 10);
>it doesn't compile.
>
>Any thoughts?
>
>Thanks
>
>Pete
>
>
>
>
Here is an example of hex write:
hwrite(my_line1, '0' & Ptr.Address);

The entire code is posted at:
http://bknpk.no-ip.biz/my_web/IP_STACK/sync_wr_vhdl_memory.html

---------------------------------------
Posted through http://www.FPGARelated.com