From: gary on
hi,
I did it in the same way what you have told, synthesis is going well
but while implementing design i stucked up at following errors:

ERROR:NgdBuild:809 - output pad net 'k<9>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<27>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<9>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<9>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<21>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<25>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<26>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<20>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<21>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<21>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<8>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<8>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<26>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<26>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<7>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<7>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<19>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<20>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<20>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<18>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<25>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<25>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<24>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<19>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<19>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<23>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<22>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<22>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<24>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<24>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<11>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<11>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<18>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<18>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<22>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<16>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<16>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<23>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<23>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<6>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<6>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<10>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<10>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<15>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<15>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<17>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<17>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<5>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<5>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<17>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<7>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<14>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<14>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<4>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<4>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<11>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<6>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<13>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<13>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<10>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<5>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<16>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<4>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<8>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<15>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<3>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<12>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<12>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<0>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<2>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<2>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<2>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<13>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<3>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<3>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<14>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<1>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<1>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<1>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<31>' has an illegal load:
ERROR:NgdBuild:809 - output pad net 'k<12>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<31>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<31>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<30>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<30>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<30>' is driving non-buffer
ERROR:NgdBuild:455 - logical net 'slv_reg0<0>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad net 'slv_reg0<0>' is driving non-buffer
ERROR:NgdBuild:809 - output pad net 'k<29>' has an illegal load:
ERROR:NgdBuild:455 - logical net 'slv_reg0<29>' has multiple driver(s):
ERROR:NgdBuild:924 - input pad
From: MM on
"gary" <rgarik(a)yahoo.com> wrote in message
news:jJidnUgPCvSQgCnZnZ2dneKdnZydnZ2d(a)giganews.com...
> hi,
> I did it in the same way what you have told, synthesis is going well
> but while implementing design i stucked up at following errors:
>
> ERROR:NgdBuild:455 - logical net 'slv_reg0<21>' has multiple driver(s):

The multiple driver errors seem to say that you still have wrong assignments
in your code, i.e. you have slv_reg0 on the left side of the equation in
more than one place...

I am not sure about other errors... Try to clean this one first...

/Mikhail



From: gary on
>
>hi mikhail,
my problem is solved, itz not the problem with my code. The
componet which iam adding (i.e inverter.vhd) synthesize this file before
adding to user_logic.vhd file,so that it will generate edif or ngc files
known as netlist files,that can be used in synthesis. After doing this
right click on the inverter.vhd file and click the option 'move to surce'
add it to ur core.
Then it works fine!

regards
gary