From: Test01 on
Thanks for all the replies as it helped resolve the issue. I need to
be more precise in assignment statements.
First  |  Prev  | 
Pages: 1 2
Prev: Test Post
Next: VHDL vs Verilog