From: Thomas Stanka on
On 23 Feb., 15:52, Petter Gustad <newsmailco...(a)gustad.com> wrote:
> Thomas Stanka <usenet_nospam_va...(a)stanka-web.de> writes:
> > For normal FPGA Design Windows is common, as some (backend-) FPGA
> > tools are either Windows only or show better performance under
> > Windows.
>
> Which tools do you have in mind here?

AFAIK you get the free Modelsim version only for Windows(32bit) from
Xilinx. The free suite for Altera (Webedition) seems to be windows
only, linux requires licensing.
Several parts of the design suites ISPLever are Windows only,
Programming Actel FPGAs is only possible using Windows...

The versions of ISE I used showed not that good performance on linux.
Quartus Linux is only titled Beta, never worked with, but guess the
same here.
Actel Designer has bad performance on Linux as well.

bye Thomas



From: Petter Gustad on
Thomas Stanka <usenet_nospam_valid(a)stanka-web.de> writes:

> On 23 Feb., 15:52, Petter Gustad <newsmailco...(a)gustad.com> wrote:
>> Thomas Stanka <usenet_nospam_va...(a)stanka-web.de> writes:
>> > For normal FPGA Design Windows is common, as some (backend-) FPGA
>> > tools are either Windows only or show better performance under
>> > Windows.
>>
>> Which tools do you have in mind here?
>
> AFAIK you get the free Modelsim version only for Windows(32bit) from
> Xilinx. The free suite for Altera (Webedition) seems to be windows
> only, linux requires licensing.

Yes this is true, even though some of the reasons are political.
Modelsim ASE is included with Quartus under Linux at no extra cost.
Altera has to pay royalties for their Mainwin library hence they will
not give it away, but they have a Qt based GUI now so I would expect
to see a free Quartus Webedition for Linux soon.

The free Modelsim versions are running at a reduced speed under both
Windows and Linux.

On the other hand the Synopsys VCS simulator is not available for
Windows.

> Several parts of the design suites ISPLever are Windows only,
> Programming Actel FPGAs is only possible using Windows...

Does that mean you can't generate a SVF file either?

> The versions of ISE I used showed not that good performance on linux.

Hmm. I don't use the GUI that much (other than for floorplanning and
signaltap/chipscope) so most of my builds are done in batch and I have
not noticed any reduced performance under Linux.

> Quartus Linux is only titled Beta, never worked with, but guess the
> same here.

Quartus for Linux is not beta. The recently released Qt GUI front-end
is beta, but not the regular Quartus version. The performance of Linux
(Quartus 9.x) is the same as for Windows.


Petter
--
A: Because it messes up the order in which people normally read text.
Q: Why is top-posting such a bad thing?
A: Top-posting.
Q: What is the most annoying thing on usenet and in e-mail?
From: Michael S on
On Feb 23, 8:13 am, Thomas Stanka <usenet_nospam_va...(a)stanka-web.de>
wrote:
> On 22 Feb., 14:12, "JuNNi" <m_junaid_muzam...(a)yahoo.com> wrote:
>
> For general digital design (and especially frontend of code entry and
> simulation) professionals use often Linux/Solaris when it comes to
> complex and large designs.
>

In fact, Altera dropped support for Solaris on SPARC since version 8.0
(2 years ago?). Solaris on x386/AMD64 was never supported.
I don't follow Xilinx all that closely but I think their situation
with regard to Solaris support is identical to Altera's.
From: Thomas Stanka on
On 25 Feb., 11:21, Petter Gustad <newsmailco...(a)gustad.com> wrote:
> On the other hand the Synopsys VCS simulator is not available for
> Windows.

Last time I used Synopsys Simulator is 10 years ago, but I don't
missed it since then :).

> > Several parts of the design suites ISPLever are Windows only,
> > Programming Actel FPGAs is only possible using Windows...
>
> Does that mean you can't generate a SVF file either?

You can generate a programming file under linux. But you can't use the
programmer (and programmer SW) neither for Antifuse nor for Flash-
based.
The core generator for more complex cores(PCI and so on) also requires
Windows.
And as already stated, the actual version of designer under Linux is
to slow to do reasonable layout/floorplan of complex designs.


bye Thomas


From: Petter Gustad on
Thomas Stanka <usenet_nospam_valid(a)stanka-web.de> writes:

> Last time I used Synopsys Simulator is 10 years ago, but I don't
> missed it since then :).

I've used VCS since it was first released by Chronologic until two
years ago, since then I've used ModelSim and I really miss VCS...

>> > Several parts of the design suites ISPLever are Windows only,
>> > Programming Actel FPGAs is only possible using Windows...
>>
>> Does that mean you can't generate a SVF file either?
>
> You can generate a programming file under linux. But you can't use the
> programmer (and programmer SW) neither for Antifuse nor for Flash-
> based.
> The core generator for more complex cores(PCI and so on) also requires
> Windows.
> And as already stated, the actual version of designer under Linux is
> to slow to do reasonable layout/floorplan of complex designs.

It's probably a tough job for the smaller companies to develop
multi-platform software since they don't have the resources that
Xilinx and Altera has.

However, it could have been interesting if some of the smaller vendors
would open source their software to get some community help. But some
of their software might be based upon some commercial packages outside
their control, which makes it impossible unless it's re-written.

Petter
--
A: Because it messes up the order in which people normally read text.
Q: Why is top-posting such a bad thing?
A: Top-posting.
Q: What is the most annoying thing on usenet and in e-mail?