From: Andy Peters on
On Mar 8, 4:38 pm, rickman <gnu...(a)gmail.com> wrote:
> On Mar 8, 4:40 pm, Andy Peters <goo...(a)latke.net> wrote:
>
>
>
> > On Mar 8, 1:32 pm, rickman <gnu...(a)gmail.com> wrote:
>
> > > On Mar 8, 6:53 am, Martin Thompson <martin.j.thomp...(a)trw.com> wrote:
>
> > > > rickman <gnu...(a)gmail.com> writes:
> > > > > I find the GUI will save me a lot of typing when instantiating
> > > > > modules.  I use the "generate test bench" feature to build a file
> > > > > with the meat and potatoes in it and I copy that to the higher level
> > > > > module.
>
> > > > Ahh, I use VHDL-mode in Emacs for that, which is why I haven't missed
> > > > it :)
>
> > > Are you saying that Emacs understands VHDL well enough to build a test
> > > bench for you?
>
> > It will create a skeleton for you.
>
> > > Will it also build a component declaration or
> > > instantiation automatically?  These three things could be automated,
> > > but I have never taken the time to do it.  Making it part of the
> > > editor makes perfect sense.
>
> > The skeleton has a nice header, an instance of the DUT, signal
> > declarations for all DUT I/O and a simple clock generator. Of course
> > you have to create your own stimulus and add instantiations of other
> > models as necessary.
>
> Ok, that's what I get from the Aldec or Lattice ispLever tools.  I'll
> have to look at EMACs sometime soon.  Can it be used to do pretty
> print formatting on VHDL files?

Yep, it beautifies buffers beautifully.

The comprehensive auto-complete feature is the best reason to use
emacs and its vhdl-mode.

Type pr then <tab> and blam, it inserts a process template, which you
can customize with clock name, reset name and type (async/sync) and
polarity, and it'll ask for a process label too.

Really, I don't know how anyone does any VHDL coding without it.

-a
From: Andy Peters on
On Mar 8, 1:34 pm, rickman <gnu...(a)gmail.com> wrote:
> On Mar 8, 7:04 am, Martin Thompson <martin.j.thomp...(a)trw.com> wrote:
>
> > KJ <kkjenni...(a)sbcglobal.net> writes:
>
> > I guess that's a point in its favour (assuming I can't "convert" the
> > incomers to Emacs :)
>
> You can convert me.  I just need to know that it is an advantage to
> switch.

As the old commercial went, "Try it, you'll like it!"

-a
From: rickman on
On Mar 9, 3:17 am, Petter Gustad <newsmailco...(a)gustad.com> wrote:
> rickman <gnu...(a)gmail.com> writes:
> > Ok, that's what I get from the Aldec or Lattice ispLever tools.  I'll
> > have to look at EMACs sometime soon.  Can it be used to do pretty
> > print formatting on VHDL files?
>
> Yes, it will "beautify", either the entire buffer or the current
> region (using C-c C-b or C-c M-b).
>
> I'm also using Emacs/Gnus writing this message and reading this
> newsgroup. I'm using Emacs/Mew for writing E-mail, also writing
> Verilog, Common Lisp, Python, C, Java, LaTex, etc., as well as doing
> GIT commits, diffs, creating branches, merges, even surfing the web
> using w3m. Dired in Emacs provides a great file browser where I can to
> bulk editing etc. Whenever I want to perform tedious repetitive
> editing tasks I will usually make a small Emacs Lisp function to do it
> for me...
>
> Petter
> --
> A: Because it messes up the order in which people normally read text.
> Q: Why is top-posting such a bad thing?
> A: Top-posting.
> Q: What is the most annoying thing on usenet and in e-mail?

Petter,

There was a guy in some of the Yahoo groups who had a tag line about
not being able to chew a radio wave. For some reason it struck me as
annoying and eventually I asked him to change it. He was not wedded
to it and was nice enough to do so.

I find your tag line to be pretty annoying as well. It is one of
those things that once you've read it, you don't need to keep reading
it. But it is there at the bottom of each post you make and it is
hard to ignore. At least I find it hard to ignore. What are the
chances you can switch to something else?

Rick
From: glen herrmannsfeldt on
In comp.arch.fpga rickman <gnuarm(a)gmail.com> wrote:

(snip, someone wrote)

>> A: Because it messes up the order in which people normally read text.
>> Q: Why is top-posting such a bad thing?
>> A: Top-posting.
>> Q: What is the most annoying thing on usenet and in e-mail?

(snip, someone else wrote)

> I find your tag line to be pretty annoying as well. It is one of
> those things that once you've read it, you don't need to keep reading
> it. But it is there at the bottom of each post you make and it is
> hard to ignore. At least I find it hard to ignore. What are the
> chances you can switch to something else?

I agree. While I mostly agree that top posting is bad, I don't
believe that it is always true. I will rarely read a post if there
is nothing new in the first two pages scrolling down. If I do get
to the bottom, though, I do seem to keep reading the above comments.

In the case of a small addition to a large post, and which has no
likely follow-ups. (Doesn't ask a question or extend one.) I would
rather see it at the top where I can read it quickly.

I don't mind the comments applied to actual top posts, but it
gets pretty annoying to see it all the time.

-- glen
From: Petter Gustad on
rickman <gnuarm(a)gmail.com> writes:

> I find your tag line to be pretty annoying as well. It is one of

Of course I can remove it. I just got a little curious. Is it that
I've been using it for so long? Is it the length? Or is it the context
that annoys you?

> It is one of those things that once you've read it, you don't need to
> keep reading it.

There are other readers here besides you. There's probably new people
entering the group every day. The sig was mostly targeted towards new
participants.

> But it is there at the bottom of each post you make and

That's the case with most sigs.

> hard to ignore. At least I find it hard to ignore. What are the
> chances you can switch to something else?

This will have to do until I dig out some of my older ones...

Petter
--
..sig removed by request.

First  |  Prev  |  Next  |  Last
Pages: 1 2 3 4 5 6 7 8 9
Prev: Antti....
Next: Laptop for FPGA design?