From: Pete Fraser on
I've finally decided to buy a better simulator
(I've been making do with Modelsim XE so far).

Any thoughts as to the relative merits of Modelsim PE and
Active-HDL (PE) for FPGA simulation?

Thanks

Pete


From: rickman on
On Mar 3, 8:02 am, "Pete Fraser" <pfra...(a)covad.net> wrote:
> I've finally decided to buy a better simulator
> (I've been making do with Modelsim XE so far).
>
> Any thoughts as to the relative merits of Modelsim PE and
> Active-HDL (PE) for FPGA simulation?

I can't say anything about the question you asked, but I can say that
I bought the entry level design package for Lattice since they don't
have a free version that can actually be used for work like Xilinx and
Altera do. I ordered the package that included ModelSim and that was
good for me since that is the only simulator I have used. But between
the time I sent in the order and the time when I licensed the tool,
they changed their agreements and started providing ActiveHDL! I
complained loudly but they would not provide a license for the tool I
had and would only send me the software for the new tool. So I gave
it a try and have hardly looked back.

My point is that it is very easy to switch and I am totally happy with
ActiveHDL. I can't think of anything about that I don't like other
than possibly the way it wants to create its own structure for your
files, but I finally figured out how to keep it from copying the
source files to it's own directory. I still don't know what the
difference between a design and a "workspace" is, but the Lattice
version only allows one design to a workspace. I don't seem to be
limited by that.

Rick
From: Dave on
We bought Active-HDL since they are offering Mixed Language (VHDL &
Verilog) simulation at an excellent price point.

Also, the Active-HDL gui is much nicer to use (especially the waveform
viewer) than Modelsim. Most likely since it is not TCL/TK based like
Modelsim (as far as I know).

I would vote for Active-HDL even if it was the same price as Modelsim.


From: Rob Gaddi on
On Wed, 3 Mar 2010 06:54:00 -0800 (PST)
Dave <doomeddave(a)yahoo.co.uk> wrote:

> We bought Active-HDL since they are offering Mixed Language (VHDL &
> Verilog) simulation at an excellent price point.
>
> Also, the Active-HDL gui is much nicer to use (especially the waveform
> viewer) than Modelsim. Most likely since it is not TCL/TK based like
> Modelsim (as far as I know).
>
> I would vote for Active-HDL even if it was the same price as Modelsim.
>
>

My experience with both has been that I prefer Active-HDL. The GUI is
_much_ more polished; I found the ModelSim GUI to be an active
impediment to work.

In terms of the simulator itself I had serious problems (in both cases
dealing with the Xilinx libraries) with both Active-HDL, which I paid
for, and with ModelSim, which I evaled. For what it's worth I found
ModelSim's support to be more responsive.

--
Rob Gaddi, Highland Technology
Email address is currently out of order
From: cfelton on
>Any thoughts as to the relative merits of Modelsim PE and
>Active-HDL (PE) for FPGA simulation?
>

Have had good luck with both. Active-HDL supports command line equivalent
of ModelSim. One issue, the "default" format for the Active-HDL waveforms
is large and slow (??). I think it was an extra license (cost) for the
fast format. I don't recall which version of Active-HDL we had but it
might be worth checking before a purchase.

Another small note, Mentor had FAE locally (CO) that was useful. Didn't
have as good access to Aldec FAE. But maybe I never needed to ask, so it
was needed, can't remember?

We ran all simulations from scripts (command line) and both worked from
that perspective.

.chris



---------------------------------------
Posted through http://www.FPGARelated.com
 |  Next  |  Last
Pages: 1 2 3 4 5 6 7 8 9
Prev: Antti....
Next: Laptop for FPGA design?